Your Ad Here

Monday, October 25, 2010

VHDL Library



Library IEEE;

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;


use IEEE.math_real.all;
use IEEE.math_complex.all;

use IEEE.numeric_bit.all;
use IEEE.numeric_std.a



library STD;


use STD.textio;
use STD.standard.all;



library WORK :


semua source code user akan dicompile dan dimasukkan ke dalam library ini


sumber : 

http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html
http://blog.uad.ac.id/tole/2009/03/vhdl-very-high-speed-integrated-circuit-vhsic-hardware-description-language/

0 komentar:

Post a Comment

 

My Room Temperature

My Pressure

My Humidity