Your Ad Here

Monday, October 25, 2010

VHDL Library



Library IEEE;

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;


use IEEE.math_real.all;
use IEEE.math_complex.all;

use IEEE.numeric_bit.all;
use IEEE.numeric_std.a



library STD;


use STD.textio;
use STD.standard.all;



library WORK :


semua source code user akan dicompile dan dimasukkan ke dalam library ini


sumber : 

http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html
http://blog.uad.ac.id/tole/2009/03/vhdl-very-high-speed-integrated-circuit-vhsic-hardware-description-language/
Read rest of entry

Program D Flip - Flop Pada VHDL

VHDL Code untuk D Flip-Flop








library IEEE;
use IEEE.std_logic_1164.all;


entity d_ff_srss is
port (
d,clk,reset,set : in STD_LOGIC;
q : out STD_LOGIC);
end d_ff_srss;


architecture d_ff_srss of d_ff_srss is
begin
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
q <= '0';
elsif set='1' then
q <= '1';
else
q <= d;
end if;
end if;
end process;
end d_ff_srss;
                      
Sumber : http://www.edaboard.com/
Read rest of entry

Sunday, October 24, 2010

Tugas Bahasa Indonesia 1

Ahmad Gumelar 
20108134
3kb05













No Kata Tidak Baku Kata Baku

1 alfa alpa

2 admin administrator

3 analisa analisis

4 antene antena

5 audiens audiensi

6 blokir blokade

7 bis bus

8 belender blender

9 bilyar biliar

10 bowling boling

11 cidera cedera

12 cengkram cengkeram

13 club klab

14 clash kles

15 cumulus kumulus

16 debet debit

17 depo depot

18 detil/detel detail

19 donatur donator

20 dzikir zikir

21 elip elips

22 elit elite

23 essay/essai esai

24 episod episode

25 engine enjin

26 falid valid

27 fas vas

28 formil formal

29 filsof/filsuf filosof

30 frasa frase

31 grebek gerebek

32 goa gua

33 gip gips

34 gudeg gudek

35 grogol gerogol

36 hapal hafal

37 hektar hektare

38 hirarki hierarki

39 hutang utang

40 hipotesa hipotesis

41 illusi ilusiin

42 inpus infus

43 insyaf insaf

44 intelejensi intelegensi

45 interest interes

46 jenius genius

47 jendral jenderal

48 jaman zaman

49 jus juz

50 joint join

51 kaedah kaidah

52 kaffa kafah

53 kaleidioskop kalidoskop

54 kate katai

55 katholik katolik

56 lajim lazim

57 ledeng leding

58 legenda legende

59 lasykar laskar

60 lemari almari

61 maesa mahesa

62 mampet mampat

63 mantera mantra

64 manager manajer

65 mandeg mandek

66 nafas napas

67 nampak tampak

68 nasehat nasihat

69 nahas naas

70 nadar nazar

71 oplet opelet

72 orange oranye

73 omset omzet

74 orisinil orisinal

75 otentik autentik

76 pacet pacat

77 padri paderi

78 pangkreas pankreas

79 paradox paradoks

80 perduli peduli

81 qomat kamat

82 qori qari

83 qoriah qariah

84 qolbu kalbu

85 qunut kunut

86 ranzel ransel

87 rapot rapor

88 rapih rapi

89 rasionil rasional

90 resum resume

91 saklar sakelar

92 sahwat syahwat

93 sahit syahid

94 salesma selesma

95 sate satai

96 tatto tato

97 tauco taoco

98 taruna teruna

99 tapi tetapi

100 tehnik teknik










Read rest of entry

Sunday, October 17, 2010

Mengapa Pada Saat Ini Kita Masih Belajar Bahasa Indonesia

        Bahasa indonesia adalah inti dari seluruh bahasa yang ada di negara indonesia.Kita meskipun berbeda-beda Adat dan budaya tetapi tetap di satukan oleh bahasa indonesia .Bahasa indonesia harus di mengerti oleh bangsa indonesia itu sendiri, jangan bangsa lain yang mengerti bahasa kita tetapi kita tidak bisa mengerti bahasa kita sendiri. Kita dipertemukan dan saling mengenal satu sama lain oleh bahasa indonesia .Contohnya ketika kita pergi ke suatu daerah ,"misalnya daerah Garut" masyarakat atau penduduk di garut menggunakan bahasa sunda sebagai bahasa keseharianya,pada suatu saat ada orang jakarta yang sedang melakukan perjalanan dan bertanya kepada orang garut , pada saat bicara orang jakarta tidak mengerti,tetapi ketika orang jakarta meminta kepada orang garut untuk menggunakan bahasa indonesia orang jakarta tersebut langsung mengerti.Inilah salah satu contoh bahwa kita sebagai bangsa Indonesia meskipun berbeda adat tetapi tetap di persatukan  oleh satu bahasa yaitu bahasa indonesia.


           
         Mengapa pada saat ini kita masih belajar bahasa indonesia? Jawabanya adalah karana bahasa indonesia adalah bahasa negara kita tercinta .Saya sebagai MAHASISWA GUNADARMA pada saat ini saya masih belajar bahasa indonesia .Bahasa indonesia sangat penting bagi saya karna dengan saya belajar bahasa indonesia saya nantinya akan dapat menyusun penulisan ilmiah atau PI dengan baik dan benar karna kita akan diajarkan bagaimana penulisan dan penyusunan kalimat yang baik.
Read rest of entry
 

My Room Temperature

My Pressure

My Humidity